simvision 덤프 받을 때


$shm_open  ("./waveform");

$shm_probe (TOP.module_a, "AC" ); // AC -> 아래에 있는 모든 시그널, 포트들을 저장

$shm_probe (TOP           , "A" ); // A -> TOP에 있는 시그널, 포트들만 저장

//AS -> cell level은 제외하고 저장??

+ Recent posts